[options] mode prove depth 30 [engines] smtbmc yices [script] read_verilog -formal kitest.v prep -top kitest -nordff [files] kitest.v